FPGAの部屋

FPGAやCPLDの話題やFPGA用のツールの話題などです。 マニアックです。 日記も書きます。

FPGAの部屋の有用と思われるコンテンツのまとめサイトを作りました。ご利用ください。 http://marsee101.web.fc2.com/index.html

2015年12月

昨日、柿の木を切って大量の枝を廃棄する必要が出てきました。
紐で縛ると燃えるゴミの日に出せるのですが、何分にも大量です。
fb063336.jpg


どうしようか?少しずつ燃えるゴミに出そうか?と考えていましたが、ふと、枝の粉砕機を見たことがあるのを思い出して検索してみました。
検索すると、ガーデンシュレッダーという名前であることが分かりました。ガーデンシュレッダーには2種類あって、ギア式とディスクカッター方式があるようです。
ギア式は音が静かで、35mm程度の太い枝まで粉砕可能ですが、粉砕後のウッドチップが大きい様です。庭にウッドチップとして撒くならば、25mm程度の枝まで、騒音が大きいですが、ディスクカッター式のほうが細かくなるようです。

そこで、グランステージつくばに行ったところ、ガーデンシュレッダー EL-007 があったので、買ってきました。約2万円でした。アマゾンの方が安いです。ですが、よ~く説明して頂いて満足して買ってきました。アマゾンの評価は低いですが、今のところ問題無いです。

早速、家に持って帰って組み立てました。
76f507ce.jpg


6edbead7.jpg

後ろには、電源スイッチとブレーカーボタンがあります。

枝を一束やってみると、やはり音はうるさいですね。後、ディスクカッターなので、枝が回って手を弾かれるので、付属の革手袋したほうが手が痛くないです。軍手だと枝に弾かれて痛いです。
dd06d6fe.jpg


結構太いと思う枝もウッドチップになって、嬉しいです。
こんな感じでウッドチップになりました。細い枝は、短くなってますが、そのままの形で出てきました。これは仕方ないですね。。。
bfab177b.jpg


畑に撒くか、ウッドチップとして庭に撒きたいと思っています。
正月明けに本格的にウッドチップ製造をやってみたいと思っています。

明日、1月1日(金)にVivado HLS勉強会4 (AXI4 Master)を公開する予定だが、Vivado HLS勉強会4 (AXI4 Master)をVivado HLS 2014.4 対応から Vivado HLS 2015.4 対応に変更した時に、ソフトウェア的に書いたラプラシアンフィルタのCソースコードを高位合成した結果が異なったので、書いておく。

まずは、Vivado HLS 2014.4 で、ソフトウェア的に書いたラプラシアンフィルタのCソースコードを高位合成した結果を示す。
719129d5.png


Vivado_HLS_2014_4_vs_2015_4_2_151231.png

次に、同じCソースコードをVivado HLS 2015.4 で高位合成した結果を示す。
b9847525.png


Vivado_HLS_2014_4_vs_2015_4_4_151231.png

Timing のEstimated は同じ 8.75 ns だった。
Latency は2015.4の方が短くなっている。
使用リソースは、BRAM_18K とDSP48E は同じだが、FFとLUT は2015.4の方が多い。

C/RTL コシミュレーションの結果を示す。
最初に、Vivado HLS 2014.4 の C/RTL コシミュレーションの波形全体を示す。
f86c6d29.png

C/RTL コシミュレーションは、約 1.14 ms かかっている。

次に、Vivado HLS 2015.4 の C/RTL コシミュレーションの波形全体を示す。
667e28a2.png

C/RTL コシミュレーションは、約 859 us で、短くなった。

Vivado HLS 2014.4 の C/RTL コシミュレーションのAXI4 Master Read 波形を示す。
dd4a1e91.png

バースト長 1 で、AXI4 Master Read 間の間隔は 380 ns だった。

Vivado HLS 2015.4 の C/RTL コシミュレーションのAXI4 Master Read 波形を示す。
b04a488c.png

バースト長 1 で、AXI4 Master Read 間の間隔は 290 ns だった。

Vivado HLS 2014.4 の C/RTL コシミュレーションのAXI4 Master Write 波形を示す。
1adc10c6.png

バースト長 1 で、AXI4 Master Write 間の間隔は 380 ns だった。

Vivado HLS 2015.4 の C/RTL コシミュレーションのAXI4 Master Write 波形を示す。
d25ddf85.png

バースト長は 64 で、AXI4 Master Write のデータ転送間の間隔は 290 ns だった。

データを見てきたように、ソフトウェア的に書いたラプラシアンフィルタのCソースコードを高位合成した結果においては、Vivado HLS 2014.4 よりも Vivado HLS 2015.4 の方が結果が良いようだ。
なお、他の2つのラプラシアンフィルタのCソースコードを高位合成した結果はほとんど変化がなかったので、Vivado HLS 2015.4 の方が、よりソフトウェア的に書いたコードでも性能が良くなるのかもしれない?

最後に、ラプラシアンフィルタのCソースコードを貼っておく。

// laplacian_filter_soft.c
// m_axi offset=slave Version
// lap_filter_axim()
// 2015/08/26 by marsee
//

#include <stdio.h>
#include <string.h>

#define HORIZONTAL_PIXEL_WIDTH    64
#define VERTICAL_PIXEL_WIDTH    48
//#define HORIZONTAL_PIXEL_WIDTH    800
//#define VERTICAL_PIXEL_WIDTH    600

#define ALL_PIXEL_VALUE    (HORIZONTAL_PIXEL_WIDTH*VERTICAL_PIXEL_WIDTH)

int laplacian_fil(int x0y0, int x1y0, int x2y0, int x0y1, int x1y1, int x2y1, int x0y2, int x1y2, int x2y2);
int conv_rgb2y(int rgb);

int lap_filter_axim(volatile int *cam_fb, volatile int *lap_fb)
{
    #pragma HLS INTERFACE s_axilite port=return

#pragma HLS INTERFACE m_axi depth=3072 port=cam_fb offset=slave bundle=cam_fb
#pragma HLS INTERFACE m_axi depth=3072 port=lap_fb offset=slave bundle=lap_fb

    int line_buf[3][HORIZONTAL_PIXEL_WIDTH];
    int x, y;
    int lap_fil_val;
    int a, b;
    int fl, sl, tl;

    // RGB値をY(輝度成分)のみに変換し、ラプラシアンフィルタを掛けた。
    for (y=0; y<VERTICAL_PIXEL_WIDTH; y++){
        for (x=0; x<HORIZONTAL_PIXEL_WIDTH; x++){
            if (y==0 || y==VERTICAL_PIXEL_WIDTH-1){ // 縦の境界の時の値は0とする
                lap_fil_val = 0;
            }else if (x==0 || x==HORIZONTAL_PIXEL_WIDTH-1){ // 横の境界の時も値は0とする
                lap_fil_val = 0;
            }else{
                if (y == 1 && x == 1){ // 最初のラインの最初のピクセルでは2ライン分の画素を読み出す
                    for (a=0; a<2; a++){ // 2ライン分
                        for (b=0; b<HORIZONTAL_PIXEL_WIDTH; b++){ // ライン
                            line_buf[a][b] = cam_fb[(a*HORIZONTAL_PIXEL_WIDTH)+b];
                            line_buf[a][b] = conv_rgb2y(line_buf[a][b]);
                        }
                    }
                }
                if (x == 1) {    // ラインの最初なので、2つのピクセルを読み込む
                    for (b=0; b<2; b++){ // ライン
                        line_buf[(y+1)%3][b] = cam_fb[((y+1)*HORIZONTAL_PIXEL_WIDTH)+b];
                        // (y+1)%3 は、使用済みのラインがに読み込む、y=2 の時 line[0], y=3の時 line[1], y=4の時 line[2]
                        line_buf[(y+1)%3][b] = conv_rgb2y(line_buf[(y+1)%3][b]);
                    }
                }

                // 1つのピクセルを読み込みながらラプラシアン・フィルタを実行する
                line_buf[(y+1)%3][x+1] = cam_fb[((y+1)*HORIZONTAL_PIXEL_WIDTH)+(x+1)];
                // (y+1)%3 は、使用済みのラインがに読み込む、y=2 の時 line[0], y=3の時 line[1], y=4の時 line[2]
                line_buf[(y+1)%3][x+1] = conv_rgb2y(line_buf[(y+1)%3][x+1]);

                fl = (y-1)%3;    // 最初のライン, y=1 012, y=2 120, y=3 201, y=4 012
                sl = y%3;        // 2番めのライン
                tl = (y+1)%3;    // 3番目のライン
                lap_fil_val = laplacian_fil(line_buf[fl][x-1], line_buf[fl][x], line_buf[fl][x+1], line_buf[sl][x-1], line_buf[sl][x], line_buf[sl][x+1], line_buf[tl][x-1], line_buf[tl][x], line_buf[tl][x+1]);
            }
            // ラプラシアンフィルタ・データの書き込み
            lap_fb[(y*HORIZONTAL_PIXEL_WIDTH)+x] = (lap_fil_val<<16)+(lap_fil_val<<8)+lap_fil_val ;
            // printf("x = %d  y = %d", x, y);
        }
     }
     return(1);
}

// RGBからYへの変換
// RGBのフォーマットは、{8'd0, R(8bits), G(8bits), B(8bits)}, 1pixel = 32bits
// 輝度信号Yのみに変換する。変換式は、Y =  0.299R + 0.587G + 0.114B
// "YUVフォーマット及び YUV<->RGB変換"を参考にした。http://vision.kuee.kyoto-u.ac.jp/~hiroaki/firewire/yuv.html
// 2013/09/27 : float を止めて、すべてint にした
int conv_rgb2y(int rgb){
    int r, g, b, y_f;
    int y;

    b = rgb & 0xff;
    g = (rgb>>8) & 0xff;
    r = (rgb>>16) & 0xff;

    y_f = 77*r + 150*g + 29*b; //y_f = 0.299*r + 0.587*g + 0.114*b;の係数に256倍した
    y = y_f >> 8// 256で割る

    return(y);
}

// ラプラシアンフィルタ
// x0y0 x1y0 x2y0 -1 -1 -1
// x0y1 x1y1 x2y1 -1  8 -1
// x0y2 x1y2 x2y2 -1 -1 -1
int laplacian_fil(int x0y0, int x1y0, int x2y0, int x0y1, int x1y1, int x2y1, int x0y2, int x1y2, int x2y2)
{
    int y;

    y = -x0y0 -x1y0 -x2y0 -x0y1 +8*x1y1 -x2y1 -x0y2 -x1y2 -x2y2;
    if (y<0)
        y = 0;
    else if (y>255)
        y = 255;
    return(y);
}


2015年のFPGAの部屋のブログのまとめ(1月~6月)”の続き。

7月
ラプラシアンフィルタのソフトウェアとハードウェアの速度の比較3
ZynqのSIMDエンジンNEONについての資料 ARMプロセッサのSIMDエンジンのNEON を使用して、ソフトウェアの高速化を図った。
gcc の最適化と自動ベクトル化を使用した時の性能 自動ベクトル化を行う gcc のオプションを使用してラプラシアンフィルタをコンパイルし、その性能を確認した。
clang の最適化と自動ベクトル化を使用した時の性能
OpenMPでのラプラシアンフィルタの実行速度
新しいラプラシアンフィルタのソフトウェア実装 OpenMP用のラプラシアンフィルタの新しい実装を作った。
ZYBOのHDMI入力を使用する(概要) ZYBOのHDMIはSource と Sink 両方使用することができる。つまりHDMI入力にもなれば、HDMI出力にすることもできる。これは、HDMIの信号がバッファを通したのみで Zynq-7010 チップに接続されているからなのだが、この性質を利用して、ZYBO同士を通信させようと思っている。
SDSoC 2015.2 のチュートリアルをやってみた1(新規プロジェクトの作製) SDSoC もやってみることにした。

8月
SDSoC 2015.2 のZYBO用サンプルデザインをやってみる2(Matrix Multiplication) SWの cpu cycles が 944230 に対して、HW のcpu cycles が 32554だった。HW の方が SW よりも 29 倍速いという結果になった。
SDSoC 2015.2 でハードウェアとソフトウェアのラプラシアンフィルタの性能を比較した1(ソースの公開) SDSoC のチュートリアルをある程度やってきて、大体、雰囲気が分かったので、いつものラプラシアンフィルタを題材にハードウェアとソフトウェアの性能差を測ってみることにした。
SDSoC 2015.2 でハードウェアとソフトウェアのラプラシアンフィルタの性能を比較した8(ハードウェア化5) ハードウェアでのラプラシアンフィルタ処理時間は 153 us で、ソフトウェアでのラプラシアンフィルタ処理時間は299 us だった。ハードウェアでのラプラシアンフィルタ処理時間はソフトウェアでのラプラシアンフィルタ処理時間よりも 0.517 倍に短くなった。つまり性能は 1.95 倍になった。
Vivado HLS 2014.4 でHLSストリームを使用してビデオ信号を入出力する
ビデオ信号にAXI4 Stream版のラプラシアンフィルタを通して画像出力1(準備編)

9月
ZYBOのHDMI入力をVGA出力に出力する8(ラプラシアンフィルタIP付き) ノートパソコンの画像をリアルタイムに画像出力することができた。
Vivado プロジェクトを配布する方法 1つ目は、File メニュー -> Archive Project.... を選択して、プロジェクトをアーカイブする方法だ。パブリックにダウンロードさせる方法としては、2つ目の tcl スクリプトでプロジェクトやブロックデザインを生成する方法がある。
Vivado HLS勉強会第1日目 Vivado HLS勉強会第2日目
筑波山の麓までサイクリングに行ってきました 今日は筑波山の麓までサイクリングに行ってきました。自転車は電動アシスト自転車です。登りは楽ですが、急な下りが重量が重いので怖かったです。
Vivado HLS によるアンシャープマスクキング・フィルタの作製1(準備編)
Vivado HLS によるアンシャープマスクキング・フィルタの作製2(floatで実装してみた)
Vivado HLS によるアンシャープマスクキング・フィルタの作製3(固定小数点で実装してみた)

10月
Vivado HLS によるアンシャープマスクキング・フィルタの作製6(実機確認) うまいった。
DSF2015 C-6 でのVivado HLS で生成したAXI4-Master IPの簡単な例について
Vivado HLS によるアンシャープマスクキング・フィルタの作製7(C++ の任意精度固定小数点型)
Vivado HLS 2015.3 の半精度浮動小数点数でアンシャープマスキング・フィルタを作った
Vivado HLSにおける固定小数点の誤差を平均2乗誤差で算出する
DE0-Nano-SoC をやってみる
”Atlas-SoC素晴らしいんじゃないの”をやってみる1
ZYBOにHDLで書いたラプラシアンフィルタを実装する1(FIFO の生成1) 以前、ZedBoard 用にHDLで書いたラプラシアンフィルタのIP があった。(”AXI4 Master アクセスのラプラシアン・フィルタ IP9(できた。完成)”)これをZYBO用にVivado 2015.3 で再度実装してみたいと思う。

11月
フリーの高位合成ツール Synverll を試してみる1
ZYBOにHDLで書いたラプラシアンフィルタを実装する9(制御ソフトウェアを作製して実機確認) できた。
並列ステレオカメラによる距離の測定1(ブロック図)
並列ステレオカメラによる距離の測定2(ZYBO 0 のハードウェア1) ステレオカメラによる距離の測定装置のブロック図のZYBO 0 のハードウェアを作っていくことにする。
Xilinx Announces the Spartan-7 FPGA Family Spartan-7 が出るそうです。びっくりしました。
BUFR でクロックを分周する場合は制約を追加する必要がある(ビットマップ・ディスプレイ・コントローラ IP のHDMI 出力)
並列ステレオカメラによる距離の測定6(ZYBO 0 のハードウェア5)完成
Vivado 2015.4 が出ました 無料の WebPACK でもVivado HLS がつかえるようになった。後で、Vivado Analyzer も無料で使えるようになったことが分かった。Vivado 2015.4 最強。。。

12月
AXI VDMAのドライバによるレジスタの設定値(S2MMの設定) 前回解析したのは動作しなかった場合で、フレームバッファが 1 面の時だったので、動作するようになった 3 面のフレームバッファの場合の解析をしてみる。
並列ステレオカメラによる距離の測定9(Ubuntuで動作するアプリケーションを作る2)  見事、ディスプレイにカメラ画像が表示されました。
SlideShare の Vivado and zybo linux勉強会資料を更新しました yama さんから Vivado and zybo linux勉強会資料の更新情報を頂いたので、SlideShare の Vivado and zybo linux勉強会資料2 と Vivado and zybo linux勉強会資料3 を更新しました。
HDMI入力XGA表示回路1(構想編)
Vivado HLS 勉強会1(基礎編)を公開しました
Vivado HLS 勉強会2(レジスタの挿入とPIPELINEディレクティブ)を公開しました
Vivado のImplemented Design で Report CDC を確認する
Vivado HLSでクロック周期を2.5ns で合成した掛け算回路は本当に400MHzで動作するのか? DSP48E1 のみを使っていたため約600MHzで動作するという結果になった。
Vivado HL WebPACK 2015.4 で Vivado Analyzer や Vivado Serial IO Analyzer が使えるようになりました 無料で使用できるVivado HL WebPACK 2015.4 で Vivado Analyzer や Vivado Serial IO Analyzer が使えるようになった。
Vivado HLS勉強会3(AXI4-Lite Slave)を公開しました
ZYBO_0 と ZYBO_1_XGA_test との接続テスト ZYBO_0 とZYBO_1_XGA_test を接続して動作できた。

今年もよくブログ記事を書いたものだ。この記事を入れて、2015年に書いたブログは 321 記事だった。
FPGAの部屋のブログが 10 週年を迎えたことと、Vivado 2015.4 の無料のWebPACK で、Vivado HLS とVivado Analyzer が使えるようなったことが2大ニュースだと思う。

来年もよろしくお願い致します。良いお年を。。。

今日は、ハンターマウンテン塩原スキー場に行ってきました。

車でスキー場に向けて、走っていると途中から雪が降っていました。スキー場は雪です。ハンターマウンテン塩原は晴れの確率がとっても多いので、雪は珍しいです。よほど冬型が強かったんですね。。。
a1de50c4.jpg


c4ec9f8b.jpg


写真には雪が見えていないかもしれませんが、雪降っています。
滑っていると顔が寒いです。リフトに載っていると雪だらけになります。
fd1038ff.jpg


そこで、リフトは2本で止めて、ゴンドラにしました。それでも1本乗ったら腹が減って、食事にしました。10時過ぎくらいですね。。。

食事後にリフトは寒いということで、ゴンドラ2本乗りました。そこで、もう年なので、十分滑ったということで、12時過ぎくらいにスキー場を出て、鬼怒川方面に降りて、鬼怒川温泉の温泉公衆浴場の鬼怒川公園岩風呂へ行きました。
b1068503.jpg


bc69be9d.jpg


スキーの後の温泉最高です。ゆっくり温まって、足を湯船の中でマッサージしていました。
風呂から出たら、マッサージ機があったので、200円払ってマッサージしてました。とっても具合が良かったですよ。。。

なお、鬼怒川公園岩風呂は12月30日と31日はお休みで、正月はやっているそうです。今日は29日なので、とってもラッキーだったと思います。
年末はやっていないようなので、ご注意下さい。

2015年のFPGAの部屋のブログを振り返ってみた。

1月
筑波山神社へ初詣
Vivado HLS 2014.4 の勉強1(高位合成の理解) Vivado HLSの勉強をしていた。
・Vivado HLS でラプラシアンフィルタを実装して、いろいろなディレクティブを試してみた。
高位合成友の会第2回目に参加
Caffe | Deep Learning Frameworkをインストール1

2月
FPGAエクストリーム・コンピューティング 第6回でLTしてきました
ハンターマウンテンスキー場に行ってきました
Zynq勉強会 7番目の資料”7 AXI4 バス説明、AXI4バスを使用したカスタムIPの作成方法”を公開2
Vivado and ZYBO Linux勉強会を開催 slideshare で Vivado and ZYBO Linux勉強資料3 を公開しました。
食器洗い洗浄機を買い替えました

3月
・Vivado HLSで生成したラプラシアンフィルタIPを使って、いろいろとやってます。
Vivado HLS 2014.4で生成したラプラシアンフィルタIPをシミュレーション3(原因が分かった) で おるさんのおかげで、1ヶ月半くらいの間、悩んできた Vivado HLS 2014.4 で生成したラプラシアンフィルタIP の原因が分かりました。
・Vivado HLS 2014.4で生成したラプラシアンフィルタIPの高速化をいろいろとやってます。
娘の学位記授与式(卒業式)に行ってきました 今は大学院に行っています。
Vivado HLS 2014.4 で合成したラプラシアンフィルタIPの高速化4(tu1978さんのCソースコード) tu1978 さん、いつもありがとうございます。

4月
Vivado HLS 2014.4 で合成したラプラシアンフィルタIPの高速化14(性能が最大になる設定を探る7、まとめ) Vivado HLS 2014.4 で合成したラプラシアンフィルタIPでの性能差をまとめた。
・だいぶミスしていたな。気をつけないと。。。
Vivado HLS 2014.4 で AXI4-Stream をテストする1(準備編)  AXI4-Stream を使って実装してみることにした。
Vivado 2014.4 でインプリメント時にStrategy を変更してインプリメント結果を改善する Vivado にもタイミング制約エラーを改善する方法があった。
FPGAマガジンNo.9 に記事を書きました FPGAマガジンNo.9 に、”【365日間の評価ライセンスが取得できる!】 C言語からFPGAを開発できる高位合成ツールが無償で使える! Vivado WebPACK EditionとVivado HLS評価版のインストール手順”という記事を書きました。今では、Vivado HLS が無料で使えるので、もっと良くなりましたね。
Vivado HLS 2014.4 でサイドチャネル付き AXI4-Stream をテストする1(C++ソースコードの公開)

5月
1x4 材で本棚を作ります1 1x4 材で本棚を作ります2
AXI4-Stream版ラプラシアンフィルタIPのカメラ表示システム1(構想編)
さくらんぼ狩りとさくらんぼジャム2
今日でFPGAの部屋のブログは10週年を迎えました ブログ始めて10週年、めでたい。。。
Vivado HLSの高位合成結果をHDLとして利用する1(準備編)
Vivado HLSの高位合成結果をHDLとして利用する5(sqrt())
藤沢邸バラ園に行ってきました
Vivado HLS で cos() を高位合成
Parallella Technical Conference in Tokyo に行ってきました

6月
Vivado HLS 2014.4 でディスプレイ・コントローラを作る1(高位合成、C/RTLコシミュレーション)
古い実家を壊しました 生まれた家を壊しました。
AXI VDMAのドライバによるレジスタの設定値
AXI4-Stream版ラプラシアンフィルタIPのカメラ表示システム9(カメラ画像表示は完成) やっと、AXI VDMAが動作した。画像のフレームは3以上でないと動作しないようだ。
ラプラシアンフィルタのソフトウェアとハードウェアの速度の比較

ほとんどVivado HLS 関連の記事だな~。。。というのが感想だ。
FPGAの部屋のブログ、10週年、ほんとうに嬉しい。。。

↑このページのトップヘ