”PYNQボードのFASTX コーナー検出にラプラシアンフィルタIPとアンシャープ・マスクキング・フィルタIPを追加2(Vivado HLS2)”の続き。

前回は、PYNQボード用の AXI4-Stream版のラプラシアンフィルタIP を作成した。今回は、AXI4-Stream版アンシャープ・マスクキング・フィルタIP と AXI4-Stream版のラプラシアンフィルタIP をPYNQボードのFASTX コーナー検出のVivado 2016.4 プロジェクトに追加する。

PYNQボードのFASTX コーナー検出のVivado プロジェクト PYNQ_FASTX_164 を示す。
91d7646f.png


pynq_fastx ブロックデザインを示す。
a3c67a4d.png


camera_interface モジュールを表示した。
8d30375b.png


AXI4-Stream版アンシャープ・マスクキング・フィルタIP と AXI4-Stream版のラプラシアンフィルタIP を camera_interface モジュールに追加した。

Address Editor のアドレスを示す。AXI4-Stream版アンシャープ・マスクキング・フィルタIP と AXI4-Stream版のラプラシアンフィルタIP がアドレス・マップされていた。
e4de749a.png


論理合成、インプリメント、ビットストリームの生成を行った。レポートを示す。
b2619b7e.png