Genasys ZU で Adam Taylor さんの”High Performance Imaging”をやってみる6(Vivado HLSでラプラシアン・フィルタを実装する3)”の続き。

High Performance Imaging”の Vivado 2019.1 プロジェクトにラプラシアン・フィルタを入れたいということで、前回は、C コードの合成、C/RTL 協調シミュレーション、Export RTL を行ってラプラシアン・フィルタ IP を作成した。今回は、Vivado HLS 2019.1 で作成したラプラシアン・フィルタ IP を Vivado 2019.1 のブロックデザインに追加した。

Vivado 2019.1 の diplay_port プロジェクトのディレクトリに lap_filter_rbg10 を作成し、 xilinx_com_hls_lap_filter_rbg10_1_0.zip を解凍して展開した。
139fe156.png


IP としてブロックデザインで使用するために lap_filter_rbg10 を IP Catalog に追加する。
Vivado 2019.1 の GUI で、左の Flow Navigator の IP Catalog をクリックする。

IP Catalog が表示されるので、そのウインドウで右クリックし、右クリックメニューから Add Repository... を選択した。
Repositories ダイアログが表示された。
lap_fitler_rbg10 ディレクトリを選択した。
1dc55d52.png


Add Repository ダイアログが表示された。
IP として lap_filter_rbg10 が登録された。
d3d2540d.png


IP Catalog にも lap_filter_rbg10 が登録された。
c2ea2739.png


ブロックデザインに AXI4-Stream Switch を 2 個、 lap_filter_rbg10 を 1 個、実装した。
1 つのパスは画像データをそのまま通して、もう 1 つのパスは lap_filter_rbg10 を通して出力する。
ブロックデザインを示す。
31f6bfac.png

2675a391.png


Address Editor を示す。
e0398583.png


論理合成、インプリメンテーション、ビットストリームの生成を行った。
結果を示す。
ffc2daaa.png


しかし、回路は動作しているのだが、赤いマイナス・スラックがどうしても気になる。次回はこのマイナス・スラックを解析して、解消したい。